field_assign,struct test,state